library ieee; use ieee.std_logic_1164.all; entity notGate is port (a, b : in std_logic; out : out std_logic); end notGate; architecture hardware of notGate is begin out <= a nand a; end hardware;